Low-power, low-latency transceiver design using d-TGMS flip-flop for on-chip interconnects

  • Authors

    • U. Saravanakumar Department of ECE,Veltech Dr. RR & Dr. SR University,Avadi, Chennai
    • P Suresh Department of ECE,Veltech Dr. RR & Dr. SR University,Avadi, Chennai
    • S.P Vimal Department of ECE, Sri Ramakrishna Engg. College, Coimbatore
    2018-01-29
    https://doi.org/10.14419/ijet.v7i1.8730
  • Network on Chip, Serialiser-Deserialiser, On-Chip Interconnects, D-TGMS, Low Power.
  • The routers in Network on Chips (NoCs) are used to transmit the data among the Processing Elements (PEs) in the field, and it can be done through transmission links between the routers. Traditionally, the data transmission between the PEs of NoC is carried out by the parallel bus which consumes more power, leads to be complex routing strategies and occupies more area within the field. Instead of parallel bus, serializes and deserialisers are used for serial data transmission, which consumes very less power and area than traditional method. To implement serialiser-deserialiser at the transceiver in the router for on chip communication, a three-level encoding technique is implemented in this design, which eliminates power hungry blocks in earlier works, such as Phase Locked Loops, Feed Forward Equalizers, Decision Feedback Equalizers and the repeaters along the transmission line. In this paper, a low-power transceiver is proposed using modified C2MOS flip flop and Dynamic TGMS flip flop circuits in order to minimize the delay. The power reduction of 35.683% and the delay reduction of 44.71% were achieved in the proposed transceiver than the NAND gate based D flip flop transceivers.

    Author Biography

    • U. Saravanakumar, Department of ECE,Veltech Dr. RR & Dr. SR University,Avadi, Chennai
      VLSI Design, System on Chip, Network on Chip, FPGA
  • References

    1. [1] S. Safwat, Ezz El-Din Hussein, Maged Ghoneima, and Yehea Ismail (2011), A 12Gbps All Digital Low Power SerDes Transceiver for On-Chip Networking, Proceedings of the IEEE International Symposium Circuits and Systems, Rio de Janeiro, pp. 1419-1422. https://doi.org/10.1109/ISCAS.2011.5937839.

      [2] T.Geurts, W. Rens, J. Crols, S. Kashiwakura, and Y. Segawa (2004), A 2.5 Gbps - 3.125 Gbps multi-core serial-link transceiver in 0.13 μm CMOS, Proceedings of the 30th European Solid-State Circuits Conference, pp. 487-490. https://doi.org/10.1109/ESSCIR.2004.1356725.

      [3] Ofer Markish, Oded Katz, Benny Sheinman, Dan Corcos, and Danny Elad (2015), On-Chip Millimeter Wave Antennas and Transceiversâ€, Proceedings of the 9th International Symposium on Networks-on-Chip (NOCS '15), ACM, Article 11 , 7 pages. https://doi.org/10.1145/2786572.2789983.

      [4] M. Harwood, N.Warke (2007), A 12.5Gb/s SerDes in 65nm CMOS using a baud-rate ADC with digital receiver equalization and clock recovery, IEEE International Solid-State Circuits Conference, Dig. Tech. Papers, San Francisco, CA pp. 611-613.

      [5] E. Hussein and Y. I. Ismail (2010), A novel variation insensitive clock distribution methodology, Proceedings of IEEE International Symposium on Circuits and Systems, Paris, pp. 1743-1746. https://doi.org/10.1109/ISCAS.2010.5537550.

      [6] S. A. Mirbozorgi, H. Bahrami, M. Sawan, L. A. Rusch and B. Gosselin (2016), A Single-Chip Full-Duplex High Speed Transceiver for Multi-Site Stimulating and Recording Neural Implants, IEEE Transactions on Biomedical Circuits and Systems, vol. 10, no. 3, pp. 643-653, 2016. https://doi.org/10.1109/TBCAS.2015.2466592.

      [7] Hong-Yi Huang, Ruei-Iun Pu (2011), Differential bidirectional transceiver for on-chip long wires, Microelectronics Journal, vol. 42, no. 11, pp. 1208-1215, 2011. https://doi.org/10.1016/j.mejo.2011.08.001.

      [8] J.Young, J. Kang, S. Park, and M. Flynn (2009), A 9Gbit/s serial Transceiver for on-chip global signaling over lossy transmission lines, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 56, no. 8, pp. 1807-1817. https://doi.org/10.1109/TCSI.2009.2027634.

      [9] Kim G, Park H, Joo J (2015), Single-chip photonic transceiver based on bulk-silicon, as a chip-level photonic I/O platform for optical interconnects, Scientific Reports, vol. 5:11329, pp. 1 – 11, 2015. https://doi.org/10.1038/srep11329.

      [10] Chong Zhang, Shangjian Zhang, Jon D. Peters, and John E. Bowers (2016), 8 × 8 × 40 Gbps fully integrated silicon photonic network on chip, Optica, vol. 3, no. 7, pp. 785-786. https://doi.org/10.1364/OPTICA.3.000785.

      [11] V. Catania, A. Mineo, S. Monteleone, M. Palesi and D. Patti (2016), Energy efficient transceiver in wireless Network on Chip architectures, Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, pp. 1321-1326.

      [12] R. A. Philpott, J. S. Humble, R. A. Kertis, K. E. Fritz, B. K. Gilbert and E. S. Daniel (2008), A 20Gb/s SerDes transmitter with adjustable source Impedance and 4-tap feed-forward equalization in 65nm bulk CMOS, Proceedings of IEEE Custom Integrated Circuits Conference (CICC), pp. 623-626. https://doi.org/10.1109/CICC.2008.4672163.

      [13] Y. Suzuki, K. Odagawa, and T. Abe (1973), Clocked CMOS calculator circuitry, IEEE Journal of Solid State Circuits, vol. SC-8, pp. 462-469. https://doi.org/10.1109/JSSC.1973.1050440.

      [14] S. Tahmasbi Oskuii and A. Alvandpour (2004), Comparative study on low-power high-performance standard-cell flip-flops, Proceedings of the SPIE, vol. 5274, pp. 390-398. https://doi.org/10.1117/12.530225.

      [15] Weste N. H. E., Eshraghian K (1994), Principles of CMOS VLSI design, a systems perspective, second edition, Addison-Wesley, 1994.

      [16] Rabaey J. M., Chandrakasan A., Nikolic B. (2016), Digital integrated circuits, a design perspective, second edition, Prentice Hall, 2016.

      [17] A. H. Elsayed, R. N. Tadros, M. Ghoneima and Y. Ismail (2014), Low-power all-digital manchester-encoding-based high-speed serdes transceiver for on-chip networks, IEEE International Symposium on Circuits and Systems (ISCAS), Melbourne VIC, pp. 2752-2755. https://doi.org/10.1109/ISCAS.2014.6865743.

      [18] J. Lee, P. C. Chiang, P. J. Peng, L. Y. Chen and C. C. Weng (2015), Design of 56 Gb/s NRZ and PAM4 SerDes Transceivers in CMOS Technologies, IEEE Journal of Solid-State Circuits, vol. 50, no. 9, pp. 2061-2073. https://doi.org/10.1109/JSSC.2015.2433269.

      [19] R. N. Tadros, A. H. Ahmed, M. Ghoneima and Y. Ismail (2015), A 24 Gbps SerDes transceiver for on-chip networks using a new half-data-rate self-timed 3-level signaling scheme, Proceedings of the 5th International Conference on Energy Aware Computing Systems & Applications, pp. 1-4. https://doi.org/10.1109/ICEAC.2015.7352168.

  • Downloads

  • How to Cite

    Saravanakumar, U., Suresh, P., & Vimal, S. (2018). Low-power, low-latency transceiver design using d-TGMS flip-flop for on-chip interconnects. International Journal of Engineering & Technology, 7(1), 106-109. https://doi.org/10.14419/ijet.v7i1.8730