Improvement of SRAM stability using read and write assist techniques

  • Authors

    • PullaReddy A Sri Venkateswara University College of Engineering
    • Sreenivasulu G Sri Venkateswara University College of Engineering
    • Veerabadra Chary R Invecas Ind Ltd
    2017-08-09
    https://doi.org/10.14419/ijet.v6i3.7939
  • Assist Circuit, Read Margin, Read Stability, SRAM, Write.
  • The objective of this paper is to demonstrate how to improve the read stability of the SRAM cell using the read assist technique. SRAM cell stability is the primary concern for the present and future technologies due to process variations like Vt and Vdd scaling, etc. So it requires additional circuit techniques such as write and read to assist to improve the stability of SRAM memories. To accomplish the non-destructive read operation, we need to either weaken the pass transistor or strengthen the pull-up transistor during the read operation. Towards decrease of pass transistor strength, we implemented the lower word line voltage as read assist circuit. The lower word line voltage will help the selected and un-selected columns (for higher column mux options) during a read operation. But during write operation the lowered word line voltage scheme will impact the write operation. So, in order to improve the read margin we used read assist technique at the same time to ensure that write operation is successful we combined the negative bit line write assist scheme along with read assist technique. The proposed assist circuit gain the power and read margin improvement of 10%, 30% respectively. We observed the read margin analysis at process, voltage and temperature corners.

  • References

    1. [1] Pullareddy .A, G. Sreenivasulu and R. Veerabadra chary, “SRAM write operation using write assist circuit technique at low supply voltagesâ€, i-manager’s Journal on Circuits and Systems,Vol.4, No. 4, (2016) pp. 1-5.

      [2] Sherif .A. Tawfik, V. Kursun, “Stability enhancement techniques for nanoscale SRAM circuits: A comparisonâ€, In Proceeding of the IEEE International System on Chip Design Conference, (2008), pp.113-116.

      [3] Chris H. Kim, Kaushik Roy, “Dynamic Vt SRAM a leakage tolerant cache memory for low voltage microprocessorsâ€,ISLPED’02, Monterey,California,USA,(2002),pp.251-254.

      [4] Brian Zimmer, Seng Oon Toh, Huy Vo, Yunsup Lee, Olivier Thomas, Krste Asanovi and Borivoje Nikoli, “SRAM assist techniques for operation in a wide voltage range in 28-nm CMOSâ€, IEEE Transactions on Circuits and Systems, Vol. 59, No. 12,(2012), pp. 853 – 857. https://doi.org/10.1109/TCSII.2012.2231015.

      [5] S.A. Tawfik, V. Kursun, “Dynamic wordline voltage swing for low leakage and stable static memory banksâ€, In Proceedings of the IEEE International Symposium on Circuits and Systems,(2008), pp. 1894–1897.

      [6] Yi-Wei Lin, Hao-I Yang, Geng-Cing Lin, Chi-Shin Chang, Ching-Te Chuang, Wei Hwang, Chia-Cheng Chen, Willis Shih, and Huan-Shun Huang ,“A 55nm 0.55V 6T SRAM with variation-tolerant dual-tracking word-line under-drive and data-aware write-assistâ€,IEEEISLPED,(2012),pp.79-84.

      [7] Chengzhi Jiang, Zuochang Ye, and Yan Wang, “Near-threshold SRAM design with transient negative bit-line voltage Schemeâ€, IEEE International Conference on Electron Devices and Solid-StateCircuits(EDSSC),(2015),pp.71-74.

      [8] Meng-Fan Chang, Shi-Wei Chang, Po-Wei Chou , and Wei-Cheng Wu ,“A 130 mV SRAM with expanded write and read margins for subthreshold applicationsâ€, IEEE Journal of Solid-State Circuits, vol.46,(2011),pp.520-529. https://doi.org/10.1109/JSSC.2010.2091321.

      [9] A. Bhavnagarwala, X. Tang, and J. Meindl, “The impact of intrinsic device fluctuations on MOS SRAM cell stabilityâ€, IEEE J. Solid-StateCircuits, vol.36, no.4, (2001), pp.658–665. https://doi.org/10.1109/4.913744.

      [10] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and H. Shinohara, “A 45 nm 0.6 V cross-point 8T SRAM with negative biased read/write assistâ€, In Proc. IEEE Symp. VLSI Circuits, (2009), pp. 158–159.

      [11] Mukhopadhyay, R. Rao, J. J. Kim, and C. T. Chuang, “Capacitive coupling based transient negative bit-line voltage (Tran-NBL) scheme for improving writeability of SRAM design in nanometer technologiesâ€, IEEE International Symposium on Circuits and System, (2008).

      [12] Kedar Janardan Dhori, Vinay Kumar, and Harsh Rawat, “Write assist circuit to cater reliability and floating bit line problem of negative bit line assist technique for single or multiport static random access memoryâ€, IEEE transactions on very large scale Integration(VLSI)systems,vol.22,NO.11.(2014),pp.2350–2356.

      [13] Santhosh K, Saumya Jain and Manisha Pattanaik, “A New Assist Technique to Enhance the Read and Write Margins of Low Voltage SRAM cellâ€, International Symposium on Electronic System Design, (2012), pp.97-101.

      [14] Rohan Sinha, Pranay Samanta, “Analysis of stability and different speed boosting techniques towards the design and optimization of high speed SRAM cellâ€, 19th International Symposium on VLSI design and Test, (2015).

  • Downloads

  • How to Cite

    A, P., G, S., & R, V. C. (2017). Improvement of SRAM stability using read and write assist techniques. International Journal of Engineering & Technology, 6(3), 78-82. https://doi.org/10.14419/ijet.v6i3.7939