Low voltage high speed 8T SRAM cell for ultra-low power applications

  • Authors

    • A S. S. Trinadh Kumar
    • B V. V. Satyanarayana
    2018-08-24
    https://doi.org/10.14419/ijet.v7i3.29.18464
  • SRAM, Read-Ability, Write-Ability, Low Power, Read Assist, Pass Transistors and Delay.
  • The usage of portable devices increasing rapidly in the modern life has led us to focus our attention to increase the performance of the SRAM circuits, especially for low power applications. Basically in six-Transistor (6T) SRAM cell either read or write operation can be performed at a time whereas, in 7T SRAM cell using single ended write operation and single ended read operation both write and read operations will be accomplished simultaneously at a time respectively. When it comes to operate in sub threshold region, single ended read operation will be degraded severely and single ended write operation will be severely degraded in terms of write-ability at lower voltages. To encounter these complications, an eight transistor SRAM cell is proposed. It performs single ended read operation and single ended write operation together even at sub threshold region down to 0.1V with improved read-ability using read assist and improved dynamic write-ability which helps in reducing the consumption of power by attaining a lower data retention voltage point. To reduce the total power consumption in the circuits, two extra access transistors are used in 8T SRAM cell which also helps in reducing the overall delay.

     

     

  • References

    1. [1] B V V Satyanarayana ,M.Durga Prakash, “Analysis of Heterojunction Tunneling Architectures for Ultra Low Power Applications,†in Ponte International Journal of Sciences and Research Vol. 73 | No. 10 | Oct 2017.

      [2] K. Vanama, R. Gunnuthula and G. Prasad, "Design of low power stable SRAM cell," 2014 International Conference on Circuits, Power and Computing Technologies [ICCPCT-2014], Nagercoil, 2014, pp. 1263-1267.

      [3] S. Gupta, K. Gupta and N. Pandey, "A 32-nm Subthreshold 7T SRAM Bit Cell With Read Assist," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 12, pp. 3473-3483, Dec. 2017.

      [4] B. Majumdar and S. Basu, "Low power single bitline 6T SRAM cell with high read stability," 2011 International Conference on Recent Trends in Information Systems, Kolkata, 2011, pp. 169-174.

      [5] Y. Yang, H. Jeong, S. C. Song, J. Wang, G. Yeap and S. O. Jung, "Single Bit-Line 7T SRAM Cell for Near-Threshold Voltage Operation With Enhanced Performance and Energy in 14 nm FinFET Technology," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 63, no. 7, pp. 1023-1032, July 2016.

      [6] A. Q. Ansari and J. A. Ansari, "Design of 7T sram cell for low power applications," 2015 Annual IEEE India Conference (INDICON), New Delhi, 2015, pp. 1-4.

      [7] X. Yang and K. Mohanram, "Robust 6T Si tunneling transistor SRAM design," 2011 Design, Automation & Test in Europe, Grenoble, 2011, pp. 1-6.

      [8] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and H. Shinohara, “A 45 nm 0.6 V cross-point 8 T SRAM with negative biased read/write assist,†in Proc. IEEE Symp. VLSI Circuits, Jun. 2009, pp. 158–159.

      [9] K. Osada et al., “Universal-Vdd 0.65–2.0-V 32-kB cache using a voltageadapted timing-generation scheme and a lithographically symmetrical cell,†IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1738–1744, Nov. 2001.

      [10] H. Jeong, T. Kim, T. Song, G. Kim, and S.-O. Jung, “Trip-point bit-line precharge sensing scheme for single-ended SRAM,†IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 7, pp. 1370–1374, Jul. 2015.

      [11] S. A. Tawfik and V. Kursun, “Low power and robust 7 T dual-V t SRAMcircuit,†in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2008, pp. 1452–1455.

      [12] E. Seevinck et al., “Static-noise margin analysis of MOS SRAM cells,â€IEEE J. Solid-State Circuits, vol. SC-22, no. 5, pp. 748–754, Oct. 1987.

      [13] N. Edri, S. Fraiman, A. Teman, and A. Fish, “Data retention voltage detection for minimizing the standby power of SRAM arrays,†in Proc. IEEE 27th Conv. Elect. Electron. Eng. Israel (IEEEI), Nov. 2012, pp. 1–5.

      [14] A Novel Virtual Grounding Based Read-Error Reduction Technique in SRAM†International Journal of Research in Computer and Communication Technology, Vol 2, Issue 7, July-2013.

      [15] M. Mamidipaka et.al “Leakage Power Estimation in SRAMs,â€Motorola Co., USA, Sept. 2003.

  • Downloads

  • How to Cite

    S. S. Trinadh Kumar, A., & V. V. Satyanarayana, B. (2018). Low voltage high speed 8T SRAM cell for ultra-low power applications. International Journal of Engineering & Technology, 7(3.29), 70-74. https://doi.org/10.14419/ijet.v7i3.29.18464