Energy Efficient VLSI Architecture for Variable Iterative 4G LTE Turbo Decoder

  • Authors

    • Manjunatha K N Jain University
    • Vaibhav A Meshram Dayanand Sagar University
    2018-07-16
    https://doi.org/10.14419/ijet.v7i3.12652
  • Long Term Evolution (LTE), Add Compare Select (ACS), VLSI, Max-Log MAP Algorithm, Log- Likelihood Ratio (LLR).
  • The Long Term Evolution (LTE) networks main objective is to support the next generation wireless communication systems. But most of the LTE approaches are suffer from decoding latency. Hence results in drop of data rate and this is not supported by the 4G LTE standards. To overcome this few parallel architectures has been introduced with the cost of power and silicon chip area. One promising decoding algorithm to overcome the decoding latency is Maximum a Posteriori (MAP) algorithm. The MAP has two computationally challenging α and β units. These two units have critical path and are to be reduced. A novel architecture for Add-Compare-Select (ACS) is proposed with clock gating techniques to reduce the unnecessary power dissipation across the recursive computational units. The proposed technique is applied with max-log MAP algorithm to precise the approximation. The overall design in implemented in a 45nm CMOS technology and results in 179.2mW of power dissipation which results in 34.6% less power compared to reported design while monitoring the moderate or same throughput level.

     

     
  • References

    1. [1] Hua Luo, Yue Zhang, “Wei Li, Low Latency Parallel Turbo Decoding Implementation for Future Terrestrial Broadcasting Systemsâ€, IEEE Transactions on Broadcasting, pp. 1-8, Jan. 2017.

      [2] Manjunatha K N, Vaibhav A Meshram, “Design and FPGA Implementation of Power Efficient Turbo Decoder for 4G LTE Standardsâ€, International Journal of Applied Engineering Research ISSN 0973-4562 Volume 12, Number 21 (2017) pp. 10921-10925.

      [3] T. Biatek, W. Hamidouche, J.-F. Travers, and O. Deforges, “Optimal bit rate allocation in the scalable HEVC extension for the deployment of UHD services,†IEEE Trans. Broadcast., vol. 62, no. 4, pp. 826–841, Dec. 2016.https://doi.org/10.1109/TBC.2016.2599266.

      [4] S. Saito et al., “8K terrestrial transmission field tests using dual polarized MIMO and higher-order modulation OFDM,†IEEE Trans. Broadcast., vol. 62, no. 1, pp. 306–315, Mar. 2016.https://doi.org/10.1109/TBC.2015.2494853.

      [5] D. Vargas, Y. J. D. Kim, J. Bajcsy, D. Gómez- Barquero, and N. Cardona, “A MIMO-channel-precoding scheme for next generation terrestrial broadcast TV systems,†IEEE Trans. Broadcast., vol. 61, no. 3, pp. 445–456, Sep. 2015. https://doi.org/10.1109/TBC.2015.2450431.

      [6] J. Calabuig, J. F. Monserrat, and D. Gómez-Barquero, “5th generation mobile networks: A new opportunity for the convergence of mobile broadband and broadcast services,†IEEE Commun. Mag., vol. 53, no. 2, pp. 198–205, Feb. 2015.https://doi.org/10.1109/MCOM.2015.7045409.

      [7] L. Dai, Z. Wang, and Z. Yang, “Next-generation digital television terrestrial broadcasting systems: Key technologies and research trends,†IEEE Commun. Mag., vol. 50, no. 6, pp. 150–158, Jun. 2012.https://doi.org/10.1109/MCOM.2012.6211500.

      [8] S.M. Karim and IndrajitChakrabarti “An Improved Low-Power High-Throughput Log-MAP Turbo Decoder†IEEE Transcations on Consumer Electronics, Vol. 56, No. 2, May 2010.

      [9] ChrisophStuder, Christian Benskeser, Sandro Belfanti, and Quiting Huang, “Design and Implementation of a Parallel Turbo-Decoder ASIC for 3GPP-LTEâ€, IEEE journal of solid-state circuits, Vol.46, No. 1, January 2011.https://doi.org/10.1109/JSSC.2010.2075390.

      [10] Pallavi Reddy, Fabien Clermidy, Rasheed Al Khayat, AmerBhagdadi& Michel Jezquel, “Power Consumption Analysis and Energy Efficient Optimization for Turbo Decoder Implementation†978-1-4244-8278-8/10/2010 IEEEp12-17.

      [11] Liang Zhang and Yubai Li, “Implementing and Optimizing a Turbo Decoder on a TI TMS320C64x Deviceâ€, ICCP2011 IEEE proceedings, p 401-04.https://doi.org/10.1109/ICCPS.2011.6092297.

      [12] Liang Li, Robert G. Maunder, Bashir M. Al-Hashimi, and Lajos Hanzo “A Low-Complexity Turbo Decoder Architecture for Energy-Efficient Wireless Sensor Networksâ€, IEEE transcations on Very Large Scale Integration (VLSI) systems, vol.21, no. 1, January2013.

      [13] MahabaSaad Aziz, Hala Abdel-Kader, Khaled Y, Youssef “Implementation of a Smart and Power Efficient Turbo Decoder Using SDR algorithmâ€, , 2011 IEEE pl 73-77.

      [14] S.M. Karim and IndrajitChakrabarti, “Design of Efficient High Throughput Pipelined Parallel Turbo Decoder Using QPP Interleaverâ€, 2011 International Conference on Multimeadia, Signal Processing and Communication Technologies, IEEE 2011, P 248-51.https://doi.org/10.1109/MSPCT.2011.6150486.

      [15] Guohui Wang,,HaoShen, YangSun, Joseph R. Cavallaro, Aida Vosoughi , and YuanbinGuo,†Parallel Interleaver Design for a High Throughput HSPA /LTE Multi-Standard Turbo Decoderâ€, IEEE Transactions on Circuits and Systems—I: Regular Papers, Vol. 61, No. 5, May 2014.

      [16] Rahul Shrestha, and Roy P. Paily,†High-Throughput Turbo Decoder with Parallel Architecture for LTE Wireless Communication Standards†IEEE Transactions on Circuits and Systems—I: Regular Papers, Vol. 61, No. 9, September 2014.https://doi.org/10.1109/TCSI.2014.2332266.

      [17] Hyeji Kim and Ji-Hoon Kim, “Design of Early Stopping Unit in Parallel Turbo Decoder based on Galois Field Operationâ€, IEEE Proceedings ISOCC 2013.

      [18] Christoph Roth, Christian Benkesery, and Qiuting Huang “Power-Efficient Turbo-Decoder Design based on Algorithm-Specific Power Domain Partitioningâ€, 2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).https://doi.org/10.1109/PATMOS.2014.6951907.

      [19] Martin Broich, Tobias G Noll, “Optimal Data Path Widths for Energy- and Area efficient Max-Log-MAP Based LTE Turbo Decodersâ€, 2014 IEEE.

      [20] Bernard Sklar, “Digital Communications: Fundamentals and Applications with Fundamentals of Turbo Codesâ€, a Hand book, Second Edition (Prentice-Hall, 2001, ISBN 0-13-084788-7).

      [21] Chen-Yang Lin et al “An Area Efficient Radix-4 Reciprocal Dual Trellis Architecture for a High-Code-Rate Turbo Decoder†IEEE Transactions on Circuits and Systems—Ii: Express Briefs, Vol. 62, No. 1, January 2015.https://doi.org/10.1109/TCSII.2014.2362733.

      [22] Manjunatha K N, Lohith Kumar H G , “Design and Performance analysis of a 3GPP LTE/LTE-Advance turbo decoder using software reference modelsâ€, International Journal of Scientific & Engineering Research Volume 2, Issue 7, July-2011, ISSN 2229-5518.

      [23] Manjunatha K N, Kiran B, “Design and ASIC Implementation of a 3GPP LTE Advance Turbo Encoder and Turbo Decoderâ€, International Journal of Engineering Research and Applications (IJERA), Vol. 2, July-August 2012, Issue 4, pp 006-010.

      [24] Liu, Jie, Li-Min Zhang, and Zhao-Gen Zhong "Research on Low Latency Decoding Scheme of Turbo Codes", 2014 International Conference on Wireless Communication and Sensor Network, 2014.https://doi.org/10.1109/WCSN.2014.10.

  • Downloads

  • How to Cite

    K N, M., & A Meshram, V. (2018). Energy Efficient VLSI Architecture for Variable Iterative 4G LTE Turbo Decoder. International Journal of Engineering & Technology, 7(3), 1535-1539. https://doi.org/10.14419/ijet.v7i3.12652